• 1
  • 2
  • 3
  • 4

齐鲁工业大学

当前位置:考研招生在线 > 考研备考  > 考研心得

22 重庆邮电大学 808 集成电路 考研上岸分享

时间:2021-05-07 11:22:49     作者:考研招生在线
本篇经验贴主要写给想考重庆邮电大学集成电路工程专业的同学。本专业的专业课考试科目为数字电路与逻辑设计,从历年的考题来看对于该门课的考察并不难且主要考点清晰。同学们在复习的过程中只要把握住主要考点想要取得满意的成绩并不困难。接下来我就详细给大家讲解一下该如何备考专业课。
一、进度安排
首先对于该门课的复习不易开始过早,因为一方面开始的过早容易占用其他科目的学习时间。另一方面开始的早到后面也容易忘记,又需要花时间来复习占用精力。所以我建议该门课的复习从暑假开始即可。
7~9月:主要为看课本以及基础知识的学习。在这个过程中主要对该门课的基础考点和知识进行学习,并要求熟练掌握课后习题。在自己做题的过程中将一些觉得不理解的难点,疑问点要注意标注清楚,以便后面的复习中精确处理自己的问题。
10~考前:在对课本知识进行熟练掌握之后就需要开始对照真题做模拟考试。通过每天的限时模考查漏补缺,发现自己有哪些不足的地方。之后再对照课本进行专项的复习与巩固。
二、复习重点
1.数字电路基础为整门课程的基础知识。单纯对该部分的考察不多主要集中在进制准换,函数化简,以填空题,简答题为主。但该部分是后面几章知识的基础,需要掌握牢固。
2.TTL,MOS门电路的考察不是重点内容,其中会考察TTL、CMOS电路的电气特性是什么;他们的输入端、输出端需要注意什么;不同门电路对应的逻辑符号怎么画;不同门他们之间的优缺点。以及一些特殊门电路的特点与使用,如三态门,OD门等。
3.A/D,D/A转化器也不是重点考察内容,只需对相关特性与分类进行记忆即可。
4.组合逻辑电路的分析与设计是重点考察内容。通常会以1-2到答题的形式出现。重点考察的逻辑器件如74138,数据选择器等。数据选择器主要掌握降维图法,该点在历年考题中曾多次出现。
5.时序逻辑电路重点在于任意模值计数器的设计,如74160,7490等,且该部分的考察越来越多,越来越难,通常都是3-4道答题,是同学们拿分的关键,需要重点掌握。另外对于小规模时序逻辑电路的分析与设计也是一个重点,在近几年的考题中曾出现过相关的考题,因此也需要同学们掌握。
6.脉冲电路部分在往年的考题中多以一大计算大题的形式从出现。需要重点掌握的是对于各个类型触发器的构成特点,功能,特性的考察。一些琐碎的点也会在选择填空题中出现。此部分也是需要同学们进行重点掌握的章节。
主要参考书:
《数字电路与逻辑设计》(第二版),邹红,人民邮电出版社
在线报名申请表
上传

上传格式要求:jpg、png、zip、docx、、doc、xlsx、xls、pptx、pdf(100MB),最多上传10个文件